Verilator的Testbench(测试平台)主要使用 C++ 或 SystemC 来编写。这是由Verilator的工作原理决定的:它将你的Verilog/SystemVerilog设计转换成一个C++类,因此你需要一个C++环境来实例化和驱动这个类。
下面详细说明这两种方式以及如何选择。
1. 使用 C++ 编写 Testbench (最常见)
这是最直接、最灵活的方式。你的C++代码将扮演“主宰者”(Master)的角色,负责生成时钟、复位信号,驱动设计的输入端口,并检查输出端口的正确性。
为什么用 C++?
- 高性能:直接编译成本机代码,运行速度快。
- 极致灵活:你可以利用整个C++生态系统,包括标准库(STL)、文件I/O、网络套接字、Boost库等,来创建极其复杂的测试激励(Stimulus)和检查器(Checker)。例如,你可以:
- 从文件中读取测试向量。
- 将设计输出与一个软件参考模型(Reference Model)的输出进行实时比对。
- 构建复杂的、算法生成的测试场景。
- 易于上手:对于有软件编程背景的工程师来说,学习成本相对较低。
一个简单的C++ Testbench示例
假设你有一个名为 my_design.v
的Verilog文件,其顶层模块是 my_design
。
Verilog代码 (my_design.v
):
module my_design (input clk,input reset,input [7:0] data_in,output logic [7:0] data_out
);always_ff @(posedge clk) beginif (reset) begindata_out <= 8'b0;end else begindata_out <= data_in + 8'd1; // 简单的加1操作endendendmodule
C++ Testbench (tb_my_design.cpp
):
#include "Vmy_design.h" // 包含Verilator生成的头文件
#include "verilated.h" // 包含Verilator的核心头文件
#include "verilated_vcd_c.h" // 包含波形生成头文件int main(int argc, char** argv) {// 初始化VerilatorVerilated::commandArgs(argc, argv);// 实例化设计Vmy_design* top = new Vmy_design;// 初始化波形追踪VerilatedVcdC* tfp = new VerilatedVcdC;top->trace(tfp, 99); // 99是追踪深度tfp->open("waveform.vcd"); // 打开VCD文件// 仿真主循环int sim_time = 0;while (sim_time < 20) {// 驱动时钟翻转top->clk = !top->clk;// 在时钟上升沿进行操作if (top->clk == 1) {if (sim_time < 4) {top->reset = 1; // 施加复位} else {top->reset = 0;top->data_in = sim_time; // 施加测试激励// 检查输出 (预期的输出是上一个周期的输入+1)printf("Time=%d, clk=%d, reset=%d, data_in=%d, data_out=%d\n",sim_time, top->clk, top->reset, top->data_in, top->data_out);// 注意:检查应该基于上一个周期的输入}}// 评估电路状态top->eval();// 将当前状态写入波形文件tfp->dump(sim_time);sim_time++;}// 清理tfp->close();delete top;delete tfp;return 0;
}
2. 使用 SystemC 编写 Testbench
SystemC 是一个基于C++的库和标准(IEEE 1666),专门用于系统级的建模、仿真和验证。它提供了硬件设计中常见的概念,如模块(module)、端口(port)、时钟(clock)和信号(signal),使得测试平台的结构更接近于HDL(如Verilog/VHDL)。
为什么用 SystemC?
- 结构化:代码结构更像硬件描述语言,对习惯了HDL的工程师更友好。
- 抽象层次高:非常适合进行事务级建模(Transaction-Level Modeling, TLM),用于SoC(片上系统)级别的验证。
- 互操作性:作为一个行业标准,便于与其它遵循SystemC/TLM标准的模型进行集成。
一个简单的SystemC Testbench示例
使用与上面相同的 my_design.v
。
SystemC Testbench (tb_my_design_sc.cpp
):
#include "Vmy_design.h"
#include <systemc.h>SC_MODULE(Testbench) {sc_in<bool> clk; // SystemC时钟输入// Testbench内部的信号sc_signal<bool> reset;sc_signal<uint8_t> data_in;sc_signal<uint8_t> data_out;// 实例化Verilated模块Vmy_design* dut;// 测试激励进程void stimulus_process() {// 复位reset = 1;wait(4, SC_NS);reset = 0;wait(1, SC_NS);// 施加激励for (int i = 0; i < 10; ++i) {data_in = i;printf("@%s, Applied data_in = %d\n", sc_time_stamp().to_string().c_str(), i);wait(1, SC_NS);printf("@%s, Observed data_out = %d\n", sc_time_stamp().to_string().c_str(), (int)data_out.read());}sc_stop(); // 停止仿真}SC_CTOR(Testbench) {// 创建DUT实例dut = new Vmy_design("dut");// 端口绑定dut->clk(clk);dut->reset(reset);dut->data_in(data_in);dut->data_out(data_out);// 注册进程SC_THREAD(stimulus_process);sensitive << clk.pos(); // 进程对时钟上升沿敏感}// 析构函数,释放内存~Testbench() {delete dut;}
};int sc_main(int argc, char* argv[]) {// 时钟定义sc_clock clk("clk", 1, SC_NS, 0.5); // 周期1ns, 占空比50%// 实例化TestbenchTestbench tb("tb");tb.clk(clk); // 将时钟连接到Testbench// 开始仿真sc_start();return 0;
}
总结与建议
特性 | 纯C++ Testbench | SystemC Testbench |
---|---|---|
控制粒度 | 高。直接手动控制每个信号和时钟周期。 | 中。通过SystemC调度器和事件驱动。 |
代码结构 | 过程式(像一个普通的C++程序)。 | 结构化(模块、端口、进程),更像HDL。 |
学习曲线 | 较低(对于C++程序员)。 | 较高(需要学习SystemC库和概念)。 |
适用场景 | 单元/模块级验证,需要高速和灵活性的场景,与软件模型集成。 | SoC系统级验证,事务级建模(TLM),需要与其它SystemC模型互联的场景。 |
给你的建议:
-
如果你是初学者,或者正在进行模块级别的验证:
从纯C++开始。它最直接,能让你更好地理解Verilator的底层工作方式,并且足以满足绝大多数验证需求。 -
如果你正在构建一个复杂的SoC,或者需要与现有的TLM模型集成:
考虑使用SystemC。它能提供更规范、更结构化的验证环境,特别是在多团队协作的大型项目中,其标准化特性非常有优势。