多电源域设计的仿真验证方法
1. 更复杂的 Testbench 例子(多电源域、复杂低功耗场景)
假设有两个电源域 PD1 和 PD2,分别对应控制信号 pwr_sw_ctrl1
、iso_ctrl1
、ret_ctrl1
和 pwr_sw_ctrl2
、iso_ctrl2
、ret_ctrl2
,且两域之间有通信。
RTL 端口声明(简化版)
module top (input wire clk,input wire rst_n,// PD1 控制信号input wire pwr_sw_ctrl1,input wire iso_ctrl1,input wire ret_ctrl1,// PD2 控制信号input wire pwr_sw_ctrl2,input wire iso_ctrl2,input wire ret_ctrl2,// 业务信号input wire [7:0] data_in,output wire [7:0] data_out
);// ... 设计内容
endmodule
更复杂的 Testbench 示例
module tb_top;reg clk;reg rst_n;// PD1 控制信号reg pwr_sw_ctrl1;reg iso_ctrl1;reg ret_ctrl1;// PD2 控制信号reg pwr_sw_ctrl2;reg iso_ctrl2;reg ret_ctrl2;