FPGA基础 -- Verilog 行为级建模之条件语句

Verilog 的行为级建模(Behavioral Modeling)中的条件语句(Conditional Statements),逐步从基础到实际工程应用,适合有RTL开发基础但希望深入行为建模的人。


一、行为级建模简介

行为级建模(Behavioral Modeling) 是 Verilog 中最接近软件编程风格的建模方式,描述的是“做什么(What)”,而不是“如何实现(How)”,相比于结构建模、门级建模,它更适合实现复杂控制逻辑、状态机、时序响应等功能。

通常使用如下块结构:

  • always @(*) (组合逻辑)
  • always @(posedge clk)(时序逻辑)

二、条件语句概述

条件语句用于在不同条件下执行不同的逻辑,Verilog 中主要包括:

语句类型用途
if / else判断单个或多个条件
case / casex / casez多条件选择分支
?: 三元运算符简化 if 判断,适合组合逻辑
unique / priority caseSystemVerilog 新特性,帮助合成优化

三、基础语法讲解

1. if / else 语句(最常用)

always @(*) beginif (sel == 1'b0)out = a;elseout = b;
end

多条件嵌套:

always @(*) beginif (a == 1'b1)y = 1;else if (b == 1'b1)y = 2;elsey = 0;
end

注意:组合逻辑中要考虑覆盖所有条件,否则综合器可能插入锁存器(LATCH)。


2. case 语句

always @(*) begincase (sel)2'b00: out = a;2'b01: out = b;2'b10: out = c;default: out = d;endcase
end

适合多分支判断。优势在于易读性与综合后逻辑优化(如查找表、编码器等)。


3. casez / casex(支持通配符)

// casez 中 z 表示可以匹配 ‘z’ 或 ‘?’
casez(opcode)6'b1??_??? : action = 1; // 通配6'b000_001: action = 2;default   : action = 0;
endcase

⚠️ 警告:casex 会忽略所有 X/Z 位,可能隐藏 bug,建议仅在数据确实存在不确定位时使用。


4. 三元运算符 ?:

assign out = (sel == 2'b00) ? a :(sel == 2'b01) ? b :(sel == 2'b10) ? c : d;

适合结构简单的组合逻辑,综合后效率高。


四、工程实践细节

(1)时序逻辑中使用条件语句

always @(posedge clk or negedge rst_n) beginif (!rst_n)cnt <= 0;else if (cnt_en)cnt <= cnt + 1;
end

使用 if 实现控制状态机或计数器,是行为级时序逻辑建模的核心方法。


(2)避免锁存器

以下代码就会产生 latch(组合逻辑中未覆盖所有条件):

always @(*) beginif (sel == 2'b00)out = a; // 若 sel 不等于 00,则 out 没有赋值 -> latch
end

✅ 正确方式:

always @(*) beginout = 0; // 预设默认值if (sel == 2'b00)out = a;
end

(3)SystemVerilog 推荐用法(可选)

always_comb beginunique case (sel) // 合成优化:编译器能确定只有一个有效条件2'b00: out = a;2'b01: out = b;default: out = d;endcase
end

uniquepriority 可以提升综合效率并生成告警(未覆盖等),但需综合工具支持(如Vivado、Quartus Prime)。


五、对比表:行为级条件语句使用建议

类型建议场景优势注意事项
if/else控制逻辑、状态机简单直观注意不要遗漏条件,防 latch
case多分支选择易读、综合优化要加 default
?:简短条件赋值合成效率高结构复杂时可读性差
unique分支互斥选择自动检测遗漏分支综合器是否支持

六、结语与拓展

行为级建模是实现 状态控制、数据流选择、算法判断逻辑 的基础,条件语句的正确使用能:

  • 避免不必要的锁存器
  • 提高可综合性与时序优化潜力
  • 保持逻辑的简洁清晰

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。
如若转载,请注明出处:http://www.pswp.cn/news/909770.shtml
繁体地址,请注明出处:http://hk.pswp.cn/news/909770.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

linux618 NFS web.cn NFS.cn backup.cn

权限问题 推测 ssh root登录失败 root192.168.235.100s password: ▒▒▒ʱ▒▒ܾ▒ root192.168.235.100s password: ▒▒▒ʱ▒▒ܾ▒ root192.168.235.100s password: ▒▒▒ʱ▒▒ܾ▒ root192.168.235.100s password: ▒▒▒ʱ▒▒ܾ▒ root192.168.235.100s password: …

氧化镱:稀土科技的“夜视高手”

氧化镱&#xff08;Yb₂O₃&#xff09;是一种重要的稀土氧化物&#xff0c;这种略带黄色的粉末&#xff0c;既不像黄金那样耀眼&#xff0c;也不像稀土家族里的“明星”如钕、铽那样广为人知&#xff0c;却在背后默默支撑着许多高科技产业&#xff0c;特别是在红外技术领域&am…

class对象【C#】2025复习

对象 西方思想是&#xff1a;复杂的事让秘书去做就行。老板只需简单的下达命令。 代码格式如下 秘书类型 秘书A new 秘书类型(); . 秘书A.开始工作(); // 调用实例对象的方法。 特别注意的是&#xff0c;程序只会用到 秘书A&#xff0c;秘书B&…

Qt程序启动动画

一、Qt有3种方式实现程序启动动画&#xff08;介绍&#xff09; 1、QSplashScreen 静态图片(png、jpg等格式) 2、QMovie 动态图片(gif格式) 3、QAxWidget 视频(swf格式) 1.QSplashScreen 静态图片(png、jpg等格式) //创建启动动画类实例 QSplashScreen splash(QPixmap(&qu…

贪心算法经典问题

目录 贪心思想 一、Dijkstra最短路问题 问题描述&#xff1a; 贪心策略&#xff1a; 二、Prim 和 Kruskal 最小生成树问题 Prim 算法&#xff1a; Kruskal 算法&#xff1a; 三、Huffman树问题 问题描述&#xff1a; 贪心策略&#xff1a; 四、背包问题 问题描述&a…

零知开源——STM32F4实现ILI9486显示屏UI界面系列教程(一):电子书阅读器功能

本教程将详细介绍如何在零知增强板上使用3.5寸ILI9486显示屏实现电子书阅读器功能。我们将使用LVGL库构建用户界面&#xff0c;并实现翻页、进度显示等核心功能。 目录 一、硬件连接 二、软件UI组件实现 三、零知IDE配置 四、演示效果 五、常见问题解决 六、总结与扩展 一…

支持selenium的chrome driver更新到137.0.7151.119

最近chrome释放新版本&#xff1a;137.0.7151.119 如果运行selenium自动化测试出现以下问题&#xff0c;是需要升级chromedriver才可以解决的。 selenium.common.exceptions.SessionNotCreatedException: Message: session not created: This version of ChromeDriver only s…

架构下的最终瓶颈:数据库如何破局?

在分布式系统和云原生架构逐渐成熟的当下&#xff0c;我们已能够灵活扩展计算资源、水平扩展服务节点、拆分业务模块等。然而&#xff0c;在经历过多轮架构优化之后&#xff0c;数据库常常成为系统的“最后瓶颈”。尤其当数据量、并发量、实时性要求剧增时&#xff0c;数据库即…

湖北理元理律师事务所小微企业债务重组方案:司法与经营的共生逻辑

小微企业债务问题常陷入“救企业还是保老板”的困局。湖北理元理律师事务所为某汽车零部件供应商设计的“经营性债务重组”方案&#xff0c;提供了创新解题思路。 核心矛盾拆解 该企业面临三重困境&#xff1a; 矛盾类型 具体表现 法律风险等级 担保链危机 老板个人担保牵…

FastAdmin退出登录不提示的修改方法

修改退出登录后的提示行为 在FastAdmin中&#xff0c;默认退出登录后会显示"退出成功"的提示信息并跳转页面。要实现不显示提示信息直接跳转&#xff0c;可以通过以下方式修改&#xff1a; 方法一&#xff1a;修改控制器逻辑 找到application/admin/controller/Log…

工信部发布《中国工业软件产业发展研究报告(2025)》:PLM垄断加剧,Ai为国产PLM软件发展契机

在6月17日上午举行的2025南京软件大会开幕式上&#xff0c;工信部电子第五研究所现场发布《中国工业软件产业发展研究报告&#xff08;2025&#xff09;》&#xff08;以下简称《研究报告》&#xff09;&#xff0c;并从工业软件产业发展现状、产业发展趋势&#xff0c;以及我国…

Flutter JSON解析全攻略:使用json_serializable实现高效序列化

引言&#xff1a;为什么我们需要JSON序列化工具&#xff1f; 在现代移动应用开发中&#xff0c;与服务器进行数据交互是必不可少的功能。JSON&#xff08;JavaScript Object Notation&#xff09;作为一种轻量级的数据交换格式&#xff0c;因其易读性、简洁性和广泛支持性&…

shelve模块的使用

shelve模块的使用 1. 什么是Shelve2. Shelve模块的数据存储与读取3. Shelve的读取数据4. Shelve模块的高级操作_ Shelve的数据更新和删除5. 删除操作可以使用del语句&#xff1a;6. Shelve的数据查询和处理_使用for循环来遍历Shelve对象中的所有键值对&#xff1a;7. Shelve模块…

python大学校园旧物捐赠系统

目录 技术栈介绍具体实现截图系统设计研究方法&#xff1a;设计步骤设计流程核心代码部分展示研究方法详细视频演示试验方案论文大纲源码获取/详细视频演示 技术栈介绍 Django-SpringBoot-php-Node.js-flask 本课题的研究方法和研究步骤基本合理&#xff0c;难度适中&#xf…

Python爬虫实战:研究eventlet库相关技术

1. 引言 在当今信息爆炸的时代,网络上的数据量呈现出指数级增长的趋势。从海量的网络信息中获取有价值的数据并进行分析,对于企业决策、学术研究以及个人兴趣等方面都具有重要意义。网络爬虫作为一种自动化获取网页内容的技术手段,应运而生并得到了广泛的应用。 网络爬虫(…

文字识别接口-智能文本处理-文字提取技术

文字识别接口&#xff0c;顾名思义&#xff0c;就是一种将图像文字或手写文字转换为可编辑文本的技术。文字识别接口&#xff0c;基于深度学习算法与自主ocr核心实现多种场景字符的高精度识别与结构化信息提取&#xff0c;现已被广泛应用于银行、医疗、财会、教育等多个领域。 …

Redis的持久化机制详细解析

Redis的持久化机制详细解析 今天我们来聊聊Redis的持久化机制。想象一下&#xff0c;你正在玩一个非常精彩的游戏&#xff0c;突然断电了&#xff0c;如果没有存档功能&#xff0c;所有的进度都会丢失&#xff0c;是不是很崩溃&#xff1f; Redis作为内存数据库&#xff0c;同…

2025年SYN-CC混合攻击防御实战:某金融平台抵御800Gbps双重风暴实录

“你以为防住SYN Flood就能高枕无忧&#xff1f;新型SYN-CC混合链正在撕裂传统防御体系&#xff01;” 一、事件现场&#xff1a;一场精准的“协议层绞杀” 2025年5月&#xff0c;某跨境支付平台遭遇史上首次SYN-CC混合攻击&#xff0c;峰值流量达 800Gbps&#xff0c;核心交易…

JSON 编辑器:从语法到数据处理(二)

JSON 编辑器&#xff1a;从语法编写到结构可视化&#xff08;一&#xff09;-CSDN博客 在上一篇中&#xff0c;我们了解了 JSON 的语法和编辑器&#xff0c;解决了 “怎么写对 JSON” 的问题。 而实际开发中&#xff0c;更关键的是 “怎么高效处理 JSON 数据” —— 如何从商品…

按键开关的结构、功能与环保安全?

工业控制的核心触手&#xff1a;深度解析按键开关的结构、功能与环保安全 一、 结构基石&#xff1a;双触点转换机制 按键开关的核心在于其精妙的触点系统。绝大多数按键开关都配备有两对独立的触点&#xff0c;这是实现复杂控制逻辑的基础。每一对触点并非随意组合&#xff…